Plasma Etching development for the advanced nodes using SADP techniques

The miniaturization of the electronics components involves the development of new processes. Indeed, the 193nm immersion lithography alone does not permit anymore to achieve the dimensional requirements of the most advanced technological nodes (=10nm). Since the last 10 years, multi-patterning techniques have been developed to overcome the i193nm lithography limitations. Herein, we will study the « Self-Aligned Double Patterning » (SADP) technique that divides by two the initial pitch of the lithographical patterns. This technology relies on a conformal deposition of a dielectric film (spacer) over the initial patterns (mandrel). The spacers will be then used as a mask during the pattern transfer by plasma etching. The small targeted dimensions require a perfect control of the etching processes. However, the etching steps can damage the materials used herein leading to a dimension loss. One of the main challenge will be to control the etching steps and so the plasma-induced modification in order to satisfy the specifications (dimension, profile, material consumption, etch rate, uniformity…). Besides, the goal will be also to propose new SADP approaches allowing us to generate different type of patterns in order to produce planar FDSOI transistors, which is currently little reported in literature.

The challenges of this PhD ?
To develop innovative etching processes
To explore new couple of material (spacer/mandrel) and to propose an industrial integration flow that will be validated by electrical tests
To identify the technological obstacles and to propose solutions for overcoming them
To put in place a reliable characterization protocol in order to detect the physical and chemical modifications of the materials used and to accurately measure the final patterns’ dimensions

Impact of plasma activation on reliability of Cu/SiO2 hybrid bonding integrations

In recent years, CEA-LETI emerged as a leading force in the development of advanced microelectronic manufacturing processes. A key focus has been on wafer-to-wafer Cu/SiO2 hybrid bonding (HB) process, an emerging technology increasingly employed for producing compact, high performance and multifunctional devices. Before bonding, a crucial surface activation step is necessary to enhance the mechanical strength of the assembled structures. Different approaches have been developed, and the most used in the industry is N2-plasma activation. However, this process remains controversial due to undesirable effects, the formation of Cu nodules at the bonding interface between particularly electrical pads and the passivation of Cu pads with chemical complexes. These issues can significantly compromise the electric properties and reliability of devices. In collaboration with STMicroelectronics and IM2NP, this PhD aims at studying the impact of plasma activation on Cu/SiO2 HB integrations.

Self Forming Barrier Materials for Advanced BEOL Interconnects

Context : As semiconductor technology scales down to 10 nm and below, Back End of Line (BEOL) scaling presents challenges, particularly in maintaining the integrity of copper interconnects, where line/via resistance and copper fill are key issues. Copper (Cu) interconnections must resist diffusion and delamination while maintaining optimal conductivity. In the traditional Cu damascene process, metal barriers and a Cu seed layer are deposited by PVD to enable electrochemical copper deposition. As dimensions shrink, it becomes increasingly difficult to incorporate tantalum-based diffusion barriers, even with techniques like atomic layer deposition (ALD), as the barrier thickness must be reduced to just a few nanometers. To address this challenge, a self-forming barrier (SFB) process has been proposed. This process uses copper alloys containing elements such as Mn, Ti, Al, and Mg, which segregate at the Cu-dielectric interface, forming an ultra-thin barrier while also serving as a seed layer for electroplating.
Thesis Project: The PhD candidate will join a leading research team to explore and optimize materials for SFBs using Cu alloys. Focus areas include:
- Material Selection & Characterization: develop and analyze Cu alloy thin films by electrochemical and PVD methods to study their microstructure and morphology.
- Barrier Formation: Control alloy migration at the Cu/dielectric interface during thermal annealing and assess barrier effectiveness.
- Electrical & Mechanical Properties: Evaluate SFB impact on electrical resistance, electromigration, and delamination, especially in accelerated tests.
Required skills : Master's degree in electrochemistry or materials science with a strong interest in applied research. A pronounced interest in experimental work, skills in thin film deposition, electrochemistry and materials characterization (AFM, SEM, XPS, XRD, SIMS). You should be able to conduct bibliographic research and organize your work efficiently.
Work Environment: The candidate will work in a renowned laboratory with state-of-the-art 200/300 mm facilities and will participate in the CEA’s NextGen Project on advanced interconnects for high reliability applications.

Complex 3D structuring based on DNA origami

The rapid evolution of new technologies, such as autonomous cars and renewable energy, requires the development of increasingly complex structures. To achieve this, many surface patterning techniques are available today. In microelectronics, optical lithography is the standard method for creating micro- and nanometric patterns. However, it remains limited in terms of the diversity of shapes it can produce.
In recent years, a promising approach has been developed within the laboratories of CBS (INSERM in Montpellier) and the CEA Leti (Grenoble): DNA origami assembly. This technology exploits the self-assembly properties of the DNA origami polymer chain. The assembly of nanometric DNA origami ultimately forms micrometric structures. The aim of this PhD is to explore new perspectives by combining 2D and 3D origami to create novel structures. These patterns could be of great interest for applications in fields such as optics or energy.

Top